Jump to content
3DCoat Forums

retopo mesh to uv mode


ozukaru
 Share

Recommended Posts

  • Advanced Member

hi i got a voxel model, I retopoligesed. Now I wat to put the retopo mesh in the Uv mode in order to unwrap it, and I want to generate a normal map for it from the voxel hi-res.

How to do it?

Other question what mode of painting (once solutioned the UV thing) must I use with the retopo model. As you can see in the picture I only got the half part because it will be simetrically textured so why waste space in the texture? (is this the right way to do it? I mean for models simetrically textured ? thanks.

post-1920-12655982944842_thumb.jpg

Link to comment
Share on other sites

  • Advanced Member

You can do the UV mapping right there in the Retopo room. Just look in the Tools for the UV section. Specifically the Mark Seams tool.

I'm not 100% sure but I believe if you are going to use overlapping UVs you have to use Per-pixel Painting.

ok thanks phil, but what about the normal map?

Link to comment
Share on other sites

  • Contributor

ok thanks phil, but what about the normal map?

Not gonna work.

You will have a big seam in the middle.

1-you need to make your UVS

2-export .obj to a traditional 3D package (I use Max).

2-Then you need to mirror and weld the symmetrical mesh parts.

(this is gonna make the Uvs overlap)

3-You also need to weld in your Uv editor UVs vertex that share the symmetry line.

4-Then...you reimport in 3DCoat and merge to scene to get normal map.

Ive already requested 3DC Retopo Apply Symmetry command to reproduce those operations...but Andrew has probably other priorities.

It feels a little absurd to go in 3000$ package to do that since its the only element needed to truly complete the Uvs tools as most of gamemodels nowadays have overlapping symmetrical Uvs at some place or another.

3DCoat supports symmetrical overlapping Uvs but cannot generate his owns. :(

If you got questions about this process I can only help if you intend on using 3DS MAx. :pardon:

goodluck

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

 Share

×
×
  • Create New...